Compare commits
2 commits
4f504c0f48
...
3f40c50170
| Author | SHA1 | Date | |
|---|---|---|---|
|
|
3f40c50170 | ||
|
|
c2d7c6627a |
9 changed files with 104 additions and 57 deletions
|
|
@ -588,13 +588,19 @@ DIVU_END:
|
||||||
|
|
||||||
; wait approx. 1 millisecond
|
; wait approx. 1 millisecond
|
||||||
;
|
;
|
||||||
; 83.333 MHz Clock, three instructions a 4 cycles
|
; the ROM at address 4
|
||||||
; 83333 / 12 = 6944.4166
|
; contains the cpu clock freq in KHz
|
||||||
; works only if executed without wait states (i.e.
|
.EQU CLK_KHZ_ADDR 4
|
||||||
; from BRAM/SRAM)
|
|
||||||
WAIT1MSEC:
|
WAIT1MSEC:
|
||||||
LOADCP 6944
|
LOADC CLK_KHZ_ADDR
|
||||||
|
LOADI
|
||||||
|
; divide by 16
|
||||||
|
SHR
|
||||||
|
SHR
|
||||||
|
SHR
|
||||||
|
SHR
|
||||||
WAIT1LOOP:
|
WAIT1LOOP:
|
||||||
|
INC 0 ; NOP to make the loop 16 cycles long
|
||||||
DEC 1
|
DEC 1
|
||||||
DUP
|
DUP
|
||||||
CBRANCH.NZ WAIT1LOOP
|
CBRANCH.NZ WAIT1LOOP
|
||||||
|
|
|
||||||
22
lib/rommon.s
22
lib/rommon.s
|
|
@ -7,8 +7,16 @@
|
||||||
.EQU UART_REG 2048
|
.EQU UART_REG 2048
|
||||||
.EQU MON_ADDR 64512
|
.EQU MON_ADDR 64512
|
||||||
|
|
||||||
|
.EQU CLK_KHZ 76923
|
||||||
|
|
||||||
BRANCH 2 ; the very first instruction is not
|
BRANCH 2 ; the very first instruction is not
|
||||||
; executed correctly
|
; executed correctly
|
||||||
|
BRANCH MON_START ; branch over constant
|
||||||
|
|
||||||
|
CLK_KHZ_ADDR:
|
||||||
|
.WORD CLK_KHZ ; to calibrate the delay loop
|
||||||
|
|
||||||
|
MON_START:
|
||||||
LOADCP 65020 ; initialise FP and RP registers
|
LOADCP 65020 ; initialise FP and RP registers
|
||||||
STOREREG FP
|
STOREREG FP
|
||||||
LOADCP 65024
|
LOADCP 65024
|
||||||
|
|
@ -782,13 +790,17 @@ COPY_BLK1:
|
||||||
|
|
||||||
; wait approx. 1 millisecond
|
; wait approx. 1 millisecond
|
||||||
;
|
;
|
||||||
; 83.333 MHz Clock, three instructions a 4 cycles
|
|
||||||
; 83333 / 12 = 6944.4166
|
|
||||||
; works only if executed without wait states (i.e.
|
|
||||||
; from BRAM/SRAM)
|
|
||||||
WAIT1MSEC:
|
WAIT1MSEC:
|
||||||
LOADCP 6944
|
; get clock freq in khz
|
||||||
|
LOADC CLK_KHZ_ADDR
|
||||||
|
LOADI
|
||||||
|
; divide by 16
|
||||||
|
SHR
|
||||||
|
SHR
|
||||||
|
SHR
|
||||||
|
SHR
|
||||||
WAIT1LOOP:
|
WAIT1LOOP:
|
||||||
|
INC 0 ; NOP to make loop 16 cycles long
|
||||||
DEC 1
|
DEC 1
|
||||||
DUP
|
DUP
|
||||||
CBRANCH.NZ WAIT1LOOP
|
CBRANCH.NZ WAIT1LOOP
|
||||||
|
|
|
||||||
|
|
@ -216,3 +216,5 @@ set_property -dict {PACKAGE_PIN C2 IOSTANDARD LVCMOS33} [get_ports rst]
|
||||||
#set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports { isns0v95_p }]; #IO_L8P_T1_AD10P_15 Sch=ad_p[10]
|
#set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports { isns0v95_p }]; #IO_L8P_T1_AD10P_15 Sch=ad_p[10]
|
||||||
|
|
||||||
set_property BITSTREAM.GENERAL.COMPRESS True [current_design]
|
set_property BITSTREAM.GENERAL.COMPRESS True [current_design]
|
||||||
|
|
||||||
|
set_max_delay -from [get_pins vgafb0/display_timings_inst/o_vblank_reg/C] -to [get_pins vgafb0/vblank_xfer_reg/D] 3.000
|
||||||
|
|
|
||||||
|
|
@ -17,7 +17,9 @@ module cpu_clkgen(
|
||||||
.CLKFBOUT_PHASE(0.0), // Phase offset in degrees of CLKFB (-360.000-360.000).
|
.CLKFBOUT_PHASE(0.0), // Phase offset in degrees of CLKFB (-360.000-360.000).
|
||||||
.CLKIN1_PERIOD(10.0), // Input clock period in ns to ps resolution (i.e. 33.333 is 30 MHz).
|
.CLKIN1_PERIOD(10.0), // Input clock period in ns to ps resolution (i.e. 33.333 is 30 MHz).
|
||||||
// CLKOUT0_DIVIDE - CLKOUT6_DIVIDE: Divide amount for each CLKOUT (1-128)
|
// CLKOUT0_DIVIDE - CLKOUT6_DIVIDE: Divide amount for each CLKOUT (1-128)
|
||||||
.CLKOUT0_DIVIDE_F(12.0), // Divide amount for CLKOUT0 (1.000-128.000).
|
// CPU Clock: 12.0 = 83.33MHz CPU Clock, 333.33MHz Memory Clock
|
||||||
|
// 13.0 = 76.92MHz CPU Clock, 307.69MHz Memory Clock
|
||||||
|
.CLKOUT0_DIVIDE_F(13.0), // Divide amount for CLKOUT0 (1.000-128.000).
|
||||||
.CLKOUT1_DIVIDE(5),
|
.CLKOUT1_DIVIDE(5),
|
||||||
.CLKOUT2_DIVIDE(40), // 40 = 25MHz pixel clock (should be 25.175MHz per spec) for 640x480
|
.CLKOUT2_DIVIDE(40), // 40 = 25MHz pixel clock (should be 25.175MHz per spec) for 640x480
|
||||||
//.CLKOUT2_DIVIDE(25), // 25 = 40MHz pixel clock for 800x600
|
//.CLKOUT2_DIVIDE(25), // 25 = 40MHz pixel clock for 800x600
|
||||||
|
|
|
||||||
|
|
@ -39,12 +39,12 @@
|
||||||
|
|
||||||
<Controller number="0">
|
<Controller number="0">
|
||||||
<MemoryDevice>DDR3_SDRAM/Components/MT41K128M16XX-15E</MemoryDevice>
|
<MemoryDevice>DDR3_SDRAM/Components/MT41K128M16XX-15E</MemoryDevice>
|
||||||
<TimePeriod>3000</TimePeriod>
|
<TimePeriod>3300</TimePeriod>
|
||||||
<VccAuxIO>1.8V</VccAuxIO>
|
<VccAuxIO>1.8V</VccAuxIO>
|
||||||
<PHYRatio>4:1</PHYRatio>
|
<PHYRatio>4:1</PHYRatio>
|
||||||
<InputClkFreq>83.333</InputClkFreq>
|
<InputClkFreq>75.757</InputClkFreq>
|
||||||
<UIExtraClocks>0</UIExtraClocks>
|
<UIExtraClocks>0</UIExtraClocks>
|
||||||
<MMCM_VCO>666</MMCM_VCO>
|
<MMCM_VCO>606</MMCM_VCO>
|
||||||
<MMCMClkOut0> 1.000</MMCMClkOut0>
|
<MMCMClkOut0> 1.000</MMCMClkOut0>
|
||||||
<MMCMClkOut1>1</MMCMClkOut1>
|
<MMCMClkOut1>1</MMCMClkOut1>
|
||||||
<MMCMClkOut2>1</MMCMClkOut2>
|
<MMCMClkOut2>1</MMCMClkOut2>
|
||||||
|
|
|
||||||
|
|
@ -39,12 +39,12 @@
|
||||||
|
|
||||||
<Controller number="0">
|
<Controller number="0">
|
||||||
<MemoryDevice>DDR3_SDRAM/Components/MT41K128M16XX-15E</MemoryDevice>
|
<MemoryDevice>DDR3_SDRAM/Components/MT41K128M16XX-15E</MemoryDevice>
|
||||||
<TimePeriod>3000</TimePeriod>
|
<TimePeriod>3250</TimePeriod>
|
||||||
<VccAuxIO>1.8V</VccAuxIO>
|
<VccAuxIO>1.8V</VccAuxIO>
|
||||||
<PHYRatio>4:1</PHYRatio>
|
<PHYRatio>4:1</PHYRatio>
|
||||||
<InputClkFreq>83.333</InputClkFreq>
|
<InputClkFreq>76.923</InputClkFreq>
|
||||||
<UIExtraClocks>0</UIExtraClocks>
|
<UIExtraClocks>0</UIExtraClocks>
|
||||||
<MMCM_VCO>666</MMCM_VCO>
|
<MMCM_VCO>615</MMCM_VCO>
|
||||||
<MMCMClkOut0> 1.000</MMCMClkOut0>
|
<MMCMClkOut0> 1.000</MMCMClkOut0>
|
||||||
<MMCMClkOut1>1</MMCMClkOut1>
|
<MMCMClkOut1>1</MMCMClkOut1>
|
||||||
<MMCMClkOut2>1</MMCMClkOut2>
|
<MMCMClkOut2>1</MMCMClkOut2>
|
||||||
|
|
|
||||||
|
|
@ -3,7 +3,8 @@
|
||||||
// or as clk_1hz for debugging
|
// or as clk_1hz for debugging
|
||||||
|
|
||||||
`define clock cpuclk
|
`define clock cpuclk
|
||||||
`define clkfreq 83333333
|
//`define clkfreq 83333333
|
||||||
|
`define clkfreq 76923076
|
||||||
//`define clock clk
|
//`define clock clk
|
||||||
//`define clkfreq 100000000
|
//`define clkfreq 100000000
|
||||||
//`define clock clk_1hz
|
//`define clock clk_1hz
|
||||||
|
|
|
||||||
|
|
@ -62,10 +62,11 @@ module display_timings #(
|
||||||
// o_scanline: high for one tick at the start of each visible scanline
|
// o_scanline: high for one tick at the start of each visible scanline
|
||||||
assign o_scanline = (o_sy >= VA_STA) && (o_sy <= VA_END) && (o_sx == H_STA);
|
assign o_scanline = (o_sy >= VA_STA) && (o_sy <= VA_END) && (o_sx == H_STA);
|
||||||
|
|
||||||
|
// set vblank at end of frame, clear at start
|
||||||
always @(posedge i_pix_clk)
|
always @(posedge i_pix_clk)
|
||||||
begin
|
begin
|
||||||
if(o_frame) o_vblank <= 1;
|
if(o_sy == VA_END) o_vblank <= 1;
|
||||||
else if (o_de) o_vblank <= 0;
|
else if (o_sy == -1) o_vblank <= 0;
|
||||||
end
|
end
|
||||||
|
|
||||||
always @ (posedge i_pix_clk)
|
always @ (posedge i_pix_clk)
|
||||||
|
|
@ -175,6 +176,7 @@ module vgafb #(VMEM_ADDR_WIDTH = 15, VMEM_DATA_WIDTH = 32) (
|
||||||
wire scanline; // scanline start
|
wire scanline; // scanline start
|
||||||
wire vblank; // vertical blank
|
wire vblank; // vertical blank
|
||||||
reg vblank_buf; // vertical blank in cpu clock domain
|
reg vblank_buf; // vertical blank in cpu clock domain
|
||||||
|
reg vblank_xfer; // vertical blank clock domain crossing
|
||||||
|
|
||||||
display_timings #( // 640x480 800x600 1280x720 1920x1080
|
display_timings #( // 640x480 800x600 1280x720 1920x1080
|
||||||
`ifdef RES_1024_768
|
`ifdef RES_1024_768
|
||||||
|
|
@ -233,7 +235,7 @@ module vgafb #(VMEM_ADDR_WIDTH = 15, VMEM_DATA_WIDTH = 32) (
|
||||||
|
|
||||||
always @(posedge pix_clk) frame_d <= frame;
|
always @(posedge pix_clk) frame_d <= frame;
|
||||||
|
|
||||||
always @(posedge cpu_clk) vblank_buf <= vblank;
|
always @(posedge cpu_clk) { vblank_buf, vblank_xfer } <= { vblank_xfer, vblank };
|
||||||
|
|
||||||
always @(posedge cpu_clk)
|
always @(posedge cpu_clk)
|
||||||
begin
|
begin
|
||||||
|
|
|
||||||
|
|
@ -1,9 +1,10 @@
|
||||||
<?xml version="1.0" encoding="UTF-8"?>
|
<?xml version="1.0" encoding="UTF-8"?>
|
||||||
<!-- Product Version: Vivado v2020.1 (64-bit) -->
|
<!-- Product Version: Vivado v2024.1 (64-bit) -->
|
||||||
<!-- -->
|
<!-- -->
|
||||||
<!-- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -->
|
<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -->
|
||||||
|
<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. -->
|
||||||
|
|
||||||
<Project Version="7" Minor="49" Path="./tridoracpu.xpr">
|
<Project Product="Vivado" Version="7" Minor="67" Path="C:/Users/sebastian/develop/Tridora-NexysA7/Tridora-CPU/tridoracpu/tridoracpu.xpr">
|
||||||
<DefaultLaunch Dir="$PRUNDIR"/>
|
<DefaultLaunch Dir="$PRUNDIR"/>
|
||||||
<Configuration>
|
<Configuration>
|
||||||
<Option Name="Id" Val="ab60beb5e7ec4efc9a7b17699b9c3b13"/>
|
<Option Name="Id" Val="ab60beb5e7ec4efc9a7b17699b9c3b13"/>
|
||||||
|
|
@ -12,20 +13,37 @@
|
||||||
<Option Name="CompiledLibDirXSim" Val=""/>
|
<Option Name="CompiledLibDirXSim" Val=""/>
|
||||||
<Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
|
<Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/>
|
||||||
<Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
|
<Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/>
|
||||||
<Option Name="CompiledLibDirIES" Val="$PCACHEDIR/compile_simlib/ies"/>
|
|
||||||
<Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
|
<Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/>
|
||||||
<Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
|
<Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/>
|
||||||
<Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
|
<Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/>
|
||||||
<Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
|
<Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/>
|
||||||
<Option Name="SimulatorInstallDirModelSim" Val=""/>
|
<Option Name="SimulatorInstallDirModelSim" Val=""/>
|
||||||
<Option Name="SimulatorInstallDirQuesta" Val=""/>
|
<Option Name="SimulatorInstallDirQuesta" Val=""/>
|
||||||
<Option Name="SimulatorInstallDirIES" Val=""/>
|
|
||||||
<Option Name="SimulatorInstallDirXcelium" Val=""/>
|
<Option Name="SimulatorInstallDirXcelium" Val=""/>
|
||||||
<Option Name="SimulatorInstallDirVCS" Val=""/>
|
<Option Name="SimulatorInstallDirVCS" Val=""/>
|
||||||
<Option Name="SimulatorInstallDirRiviera" Val=""/>
|
<Option Name="SimulatorInstallDirRiviera" Val=""/>
|
||||||
<Option Name="SimulatorInstallDirActiveHdl" Val=""/>
|
<Option Name="SimulatorInstallDirActiveHdl" Val=""/>
|
||||||
<Option Name="BoardPart" Val="digilentinc.com:arty-a7-35:part0:1.0"/>
|
<Option Name="SimulatorGccInstallDirModelSim" Val=""/>
|
||||||
<Option Name="BoardPartRepoPaths" Val="$PPRDIR/../../../AppData/Roaming/Xilinx/Vivado/2020.1/xhub/board_store/xilinx_board_store"/>
|
<Option Name="SimulatorGccInstallDirQuesta" Val=""/>
|
||||||
|
<Option Name="SimulatorGccInstallDirXcelium" Val=""/>
|
||||||
|
<Option Name="SimulatorGccInstallDirVCS" Val=""/>
|
||||||
|
<Option Name="SimulatorGccInstallDirRiviera" Val=""/>
|
||||||
|
<Option Name="SimulatorGccInstallDirActiveHdl" Val=""/>
|
||||||
|
<Option Name="SimulatorVersionXsim" Val="2024.1"/>
|
||||||
|
<Option Name="SimulatorVersionModelSim" Val="2023.2"/>
|
||||||
|
<Option Name="SimulatorVersionQuesta" Val="2023.2"/>
|
||||||
|
<Option Name="SimulatorVersionXcelium" Val="23.03.002"/>
|
||||||
|
<Option Name="SimulatorVersionVCS" Val="U-2023.03-1"/>
|
||||||
|
<Option Name="SimulatorVersionRiviera" Val="2023.04"/>
|
||||||
|
<Option Name="SimulatorVersionActiveHdl" Val="14.1"/>
|
||||||
|
<Option Name="SimulatorGccVersionXsim" Val="9.3.0"/>
|
||||||
|
<Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/>
|
||||||
|
<Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/>
|
||||||
|
<Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/>
|
||||||
|
<Option Name="SimulatorGccVersionVCS" Val="9.2.0"/>
|
||||||
|
<Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/>
|
||||||
|
<Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/>
|
||||||
|
<Option Name="BoardPart" Val="digilentinc.com:arty-a7-35:part0:1.1"/>
|
||||||
<Option Name="SourceMgmtMode" Val="DisplayOnly"/>
|
<Option Name="SourceMgmtMode" Val="DisplayOnly"/>
|
||||||
<Option Name="ActiveSimSet" Val="sim_sdspi"/>
|
<Option Name="ActiveSimSet" Val="sim_sdspi"/>
|
||||||
<Option Name="DefaultLib" Val="xil_defaultlib"/>
|
<Option Name="DefaultLib" Val="xil_defaultlib"/>
|
||||||
|
|
@ -35,6 +53,8 @@
|
||||||
<Option Name="IPCachePermission" Val="read"/>
|
<Option Name="IPCachePermission" Val="read"/>
|
||||||
<Option Name="IPCachePermission" Val="write"/>
|
<Option Name="IPCachePermission" Val="write"/>
|
||||||
<Option Name="EnableCoreContainer" Val="FALSE"/>
|
<Option Name="EnableCoreContainer" Val="FALSE"/>
|
||||||
|
<Option Name="EnableResourceEstimation" Val="FALSE"/>
|
||||||
|
<Option Name="SimCompileState" Val="TRUE"/>
|
||||||
<Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
|
<Option Name="CreateRefXciForCoreContainers" Val="FALSE"/>
|
||||||
<Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
|
<Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
|
||||||
<Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
|
<Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
|
||||||
|
|
@ -47,13 +67,13 @@
|
||||||
<Option Name="WTVcsLaunchSim" Val="0"/>
|
<Option Name="WTVcsLaunchSim" Val="0"/>
|
||||||
<Option Name="WTRivieraLaunchSim" Val="0"/>
|
<Option Name="WTRivieraLaunchSim" Val="0"/>
|
||||||
<Option Name="WTActivehdlLaunchSim" Val="0"/>
|
<Option Name="WTActivehdlLaunchSim" Val="0"/>
|
||||||
<Option Name="WTXSimExportSim" Val="4"/>
|
<Option Name="WTXSimExportSim" Val="6"/>
|
||||||
<Option Name="WTModelSimExportSim" Val="4"/>
|
<Option Name="WTModelSimExportSim" Val="6"/>
|
||||||
<Option Name="WTQuestaExportSim" Val="4"/>
|
<Option Name="WTQuestaExportSim" Val="6"/>
|
||||||
<Option Name="WTIesExportSim" Val="4"/>
|
<Option Name="WTIesExportSim" Val="4"/>
|
||||||
<Option Name="WTVcsExportSim" Val="4"/>
|
<Option Name="WTVcsExportSim" Val="6"/>
|
||||||
<Option Name="WTRivieraExportSim" Val="4"/>
|
<Option Name="WTRivieraExportSim" Val="6"/>
|
||||||
<Option Name="WTActivehdlExportSim" Val="4"/>
|
<Option Name="WTActivehdlExportSim" Val="6"/>
|
||||||
<Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
|
<Option Name="GenerateIPUpgradeLog" Val="TRUE"/>
|
||||||
<Option Name="XSimRadix" Val="hex"/>
|
<Option Name="XSimRadix" Val="hex"/>
|
||||||
<Option Name="XSimTimeUnit" Val="ns"/>
|
<Option Name="XSimTimeUnit" Val="ns"/>
|
||||||
|
|
@ -65,8 +85,10 @@
|
||||||
<Option Name="SimTypes" Val="tlm_dpi"/>
|
<Option Name="SimTypes" Val="tlm_dpi"/>
|
||||||
<Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
|
<Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/>
|
||||||
<Option Name="DcpsUptoDate" Val="TRUE"/>
|
<Option Name="DcpsUptoDate" Val="TRUE"/>
|
||||||
|
<Option Name="ClassicSocBoot" Val="FALSE"/>
|
||||||
|
<Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/>
|
||||||
</Configuration>
|
</Configuration>
|
||||||
<FileSets Version="1" Minor="31">
|
<FileSets Version="1" Minor="32">
|
||||||
<FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR">
|
<FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR">
|
||||||
<Filter Type="Srcs"/>
|
<Filter Type="Srcs"/>
|
||||||
<File Path="$PSRCDIR/cpuclk.v">
|
<File Path="$PSRCDIR/cpuclk.v">
|
||||||
|
|
@ -233,18 +255,6 @@
|
||||||
<Option Name="TopAutoSet" Val="TRUE"/>
|
<Option Name="TopAutoSet" Val="TRUE"/>
|
||||||
</Config>
|
</Config>
|
||||||
</FileSet>
|
</FileSet>
|
||||||
<FileSet Name="mig_dram_0" Type="BlockSrcs" RelSrcDir="$PSRCDIR/mig_dram_0">
|
|
||||||
<File Path="$PSRCDIR/mig_dram_0/mig_dram_0.xci">
|
|
||||||
<FileInfo>
|
|
||||||
<Attr Name="UsedIn" Val="synthesis"/>
|
|
||||||
<Attr Name="UsedIn" Val="implementation"/>
|
|
||||||
</FileInfo>
|
|
||||||
</File>
|
|
||||||
<Config>
|
|
||||||
<Option Name="TopModule" Val="mig_dram_0"/>
|
|
||||||
<Option Name="UseBlackboxStub" Val="1"/>
|
|
||||||
</Config>
|
|
||||||
</FileSet>
|
|
||||||
<FileSet Name="sim_fifo" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_fifo">
|
<FileSet Name="sim_fifo" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_fifo">
|
||||||
<Filter Type="Srcs"/>
|
<Filter Type="Srcs"/>
|
||||||
<File Path="$PSRCDIR/fifo.v">
|
<File Path="$PSRCDIR/fifo.v">
|
||||||
|
|
@ -298,6 +308,18 @@
|
||||||
<Option Name="xsim.simulate.runtime" Val="10ms"/>
|
<Option Name="xsim.simulate.runtime" Val="10ms"/>
|
||||||
</Config>
|
</Config>
|
||||||
</FileSet>
|
</FileSet>
|
||||||
|
<FileSet Name="mig_dram_0" Type="BlockSrcs" RelSrcDir="$PSRCDIR/mig_dram_0">
|
||||||
|
<File Path="$PSRCDIR/mig_dram_0/mig_dram_0.xci">
|
||||||
|
<FileInfo>
|
||||||
|
<Attr Name="UsedIn" Val="synthesis"/>
|
||||||
|
<Attr Name="UsedIn" Val="implementation"/>
|
||||||
|
</FileInfo>
|
||||||
|
</File>
|
||||||
|
<Config>
|
||||||
|
<Option Name="TopModule" Val="mig_dram_0"/>
|
||||||
|
<Option Name="UseBlackboxStub" Val="1"/>
|
||||||
|
</Config>
|
||||||
|
</FileSet>
|
||||||
</FileSets>
|
</FileSets>
|
||||||
<Simulators>
|
<Simulators>
|
||||||
<Simulator Name="XSim">
|
<Simulator Name="XSim">
|
||||||
|
|
@ -317,8 +339,8 @@
|
||||||
<Option Name="Description" Val="Active-HDL Simulator"/>
|
<Option Name="Description" Val="Active-HDL Simulator"/>
|
||||||
</Simulator>
|
</Simulator>
|
||||||
</Simulators>
|
</Simulators>
|
||||||
<Runs Version="1" Minor="11">
|
<Runs Version="1" Minor="22">
|
||||||
<Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a35ticsg324-1L" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true">
|
<Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a35ticsg324-1L" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true">
|
||||||
<Strategy Version="1" Minor="2">
|
<Strategy Version="1" Minor="2">
|
||||||
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2020"/>
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2020"/>
|
||||||
<Step Id="synth_design"/>
|
<Step Id="synth_design"/>
|
||||||
|
|
@ -328,17 +350,17 @@
|
||||||
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
||||||
<RQSFiles/>
|
<RQSFiles/>
|
||||||
</Run>
|
</Run>
|
||||||
<Run Id="mig_dram_0_synth_1" Type="Ft3:Synth" SrcSet="mig_dram_0" Part="xc7a35ticsg324-1L" ConstrsSet="mig_dram_0" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/mig_dram_0_synth_1" IncludeInArchive="true">
|
<Run Id="mig_dram_0_synth_1" Type="Ft3:Synth" SrcSet="mig_dram_0" Part="xc7a35ticsg324-1L" ConstrsSet="mig_dram_0" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/mig_dram_0_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/mig_dram_0_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/mig_dram_0_synth_1" ParallelReportGen="true">
|
||||||
<Strategy Version="1" Minor="2">
|
<Strategy Version="1" Minor="2">
|
||||||
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2020"/>
|
<StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"/>
|
||||||
<Step Id="synth_design"/>
|
<Step Id="synth_design"/>
|
||||||
</Strategy>
|
</Strategy>
|
||||||
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
<GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
|
||||||
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2020"/>
|
<ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2024"/>
|
||||||
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
||||||
<RQSFiles/>
|
<RQSFiles/>
|
||||||
</Run>
|
</Run>
|
||||||
<Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a35ticsg324-1L" ConstrsSet="constrs_1" Description="Increase placer effort in the post-placement optimization phase, and disable timing relaxation in the router." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" GenFullBitstream="true">
|
<Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a35ticsg324-1L" ConstrsSet="constrs_1" Description="Increase placer effort in the post-placement optimization phase, and disable timing relaxation in the router." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" LaunchOptions="-jobs 6 " AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true">
|
||||||
<Strategy Version="1" Minor="2">
|
<Strategy Version="1" Minor="2">
|
||||||
<StratHandle Name="Performance_RefinePlacement" Flow="Vivado Implementation 2020"/>
|
<StratHandle Name="Performance_RefinePlacement" Flow="Vivado Implementation 2020"/>
|
||||||
<Step Id="init_design"/>
|
<Step Id="init_design"/>
|
||||||
|
|
@ -364,9 +386,9 @@
|
||||||
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
||||||
<RQSFiles/>
|
<RQSFiles/>
|
||||||
</Run>
|
</Run>
|
||||||
<Run Id="mig_dram_0_impl_1" Type="Ft2:EntireDesign" Part="xc7a35ticsg324-1L" ConstrsSet="mig_dram_0" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="mig_dram_0_synth_1" IncludeInArchive="false" GenFullBitstream="true">
|
<Run Id="mig_dram_0_impl_1" Type="Ft2:EntireDesign" Part="xc7a35ticsg324-1L" ConstrsSet="mig_dram_0" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="mig_dram_0_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/mig_dram_0_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/mig_dram_0_impl_1" ParallelReportGen="true">
|
||||||
<Strategy Version="1" Minor="2">
|
<Strategy Version="1" Minor="2">
|
||||||
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2020"/>
|
<StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"/>
|
||||||
<Step Id="init_design"/>
|
<Step Id="init_design"/>
|
||||||
<Step Id="opt_design"/>
|
<Step Id="opt_design"/>
|
||||||
<Step Id="power_opt_design"/>
|
<Step Id="power_opt_design"/>
|
||||||
|
|
@ -377,7 +399,7 @@
|
||||||
<Step Id="post_route_phys_opt_design"/>
|
<Step Id="post_route_phys_opt_design"/>
|
||||||
<Step Id="write_bitstream"/>
|
<Step Id="write_bitstream"/>
|
||||||
</Strategy>
|
</Strategy>
|
||||||
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2020"/>
|
<ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2024"/>
|
||||||
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
<Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
|
||||||
<RQSFiles/>
|
<RQSFiles/>
|
||||||
</Run>
|
</Run>
|
||||||
|
|
|
||||||
Loading…
Add table
Add a link
Reference in a new issue